The Design and Implementation of the FreeBSD Operating System, Second Edition
Now available: The Design and Implementation of the FreeBSD Operating System (Second Edition)


[ source navigation ] [ identifier search ] [ freetext search ] [ file search ] [ list types ] [ track identifier ]

FreeBSD/Linux Kernel Cross Reference

Version: -  FREEBSD  -  FREEBSD-13-STABLE  -  FREEBSD-13-0  -  FREEBSD-12-STABLE  -  FREEBSD-12-0  -  FREEBSD-11-STABLE  -  FREEBSD-11-0  -  FREEBSD-10-STABLE  -  FREEBSD-10-0  -  FREEBSD-9-STABLE  -  FREEBSD-9-0  -  FREEBSD-8-STABLE  -  FREEBSD-8-0  -  FREEBSD-7-STABLE  -  FREEBSD-7-0  -  FREEBSD-6-STABLE  -  FREEBSD-6-0  -  FREEBSD-5-STABLE  -  FREEBSD-5-0  -  FREEBSD-4-STABLE  -  FREEBSD-3-STABLE  -  FREEBSD22  -  l41  -  OPENBSD  -  linux-2.6  -  MK84  -  PLAN9  -  xnu-8792 
SearchContext: -  none  -  3  -  10 

[ typedefs ] [ structs ] [ enums ] [ unions ]

784 definition(s) of enum type

AR5312PowerMode AcpiAsfType AcpiBertErrorSeverity
AcpiDmarScopeType AcpiDmarType AcpiEinjActions
AcpiEinjCommandStatus AcpiEinjInstructions AcpiErstActions
AcpiErstCommandStatus AcpiErstInstructions AcpiExDebuggerCommands
AcpiHestNotifyTypes AcpiHestTypes AcpiHpetPageProtect
AcpiIbftType AcpiIvrsDeviceEntryType AcpiIvrsType
AcpiMadtType AcpiPreferedPmProfiles AcpiReturnPackageTypes
AcpiSlicType AcpiSpmiInterfaceTypes AcpiSratType
AcpiWdatActions AcpiWdatInstructions COMMON_SUBSYSTEM_OPCODES
CPL_error CPL_opcode CQFW_CONFIG_NUMBER
CQFW_FUNCTION_CAPABILITIES CQFW_FUNCTION_MODES_SUPPORTED CQFW_ULP_MODES_SUPPORTED
DB_FUNCTION_BITS DB_STATE_BITS DCBX_SUBSYSTEM_OPCODES
DIAG_SUBSYSTEM_OPCODES DMTF_SUBSYSTEM_OPCODES E1000_INVM_STRUCTURE_TYPE
GAIN_PARAMS GAIN_PARAMS_5112 ISOCountryCode
ISO_FTYPE IWCH_QP_FLAGS LLDP_SUBSYSTEM_OPCODES
LOWLEVEL_SUBSYSTEM_OPCODES MBX_COMPLETION_STATUS MBX_SUBSYSTEM_CODES
MGMT_ADDI_STATUS MGMT_STATUS_SUCCESS NIC_SUBSYSTEM_OPCODES
NPmode OCE_PMEM_ERR_STATS OCE_PPORT_STATS
OCE_QUEUE_RX_STATS OCE_QUEUE_TX_STATS OCE_RXF_ADDL_STATS
OCE_RXF_PORT_STATS OCE_RX_ERR_STATS OCE_TX_CHUTE_PORT_STATS
OCE_VPORT_STATS RSS_ENABLE_FLAGS RegdomainCode
SATI_REASSIGN_BLOCKS_ATA_COMMAND_STATUS SATI_UNMAP_ATA_COMMAND_STATUS SCIC_SDS_APC_ACTIVITY
Start_Ctio_How TqcJI3 VENDOR_SUBSYSTEM_OPCODES
VM_GUEST Y59T82 _MPT_INTR_MASK_BITS
_MPT_INTR_REQ_BITS _driver_events_t _pdq_boolean_t
_pdq_state_t _pdq_type_t accept_stat
acpi_sleep_state action agp_acquire_state
ahci_err_type ahci_slot_states aibs_type
alias_tcp_state alt_arch_type ar71xx_soc_type
ar9285_ant_div_comb_lna_conf asm_cmpltr_class asm_cmpltr_type
asm_fmt asm_op asm_oper_type
asm_unit atm_attribute atm_error
atmop atmstate atp_log_level
atp_status_bits auth_stat blkif_protocol
bmwN91 bpf_direction busTypes
bwi_bus_space bwi_clock_mode bwi_txpwrcb_type
bwn_fwtype bxe_stats_event bxe_stats_state
c2zve call_sig call_state
call_type cm_msg_response cm_msg_sequence
cm_share_disposition cma_state cmd
comptype conn_sig conn_state
coord_sig core_arch_events cpu_class
cq_len cq_type create
ctxt_type cu_stat dUSVs
dc_status dev_master dev_state
digi_board_status digi_model dma_attr
dma_data_direction dmi_field dot3Vendors
dpt_immediate_cmd dpt_message drm_connector_force
drm_connector_status drm_ctx_flags drm_dma_flags
drm_global_types drm_lock_flags drm_map_flags
drm_map_type drm_mode_status drm_stat_type
drm_vblank_seq_type drm_via_irqs e1000_1000t_rx_status
e1000_bus_speed e1000_bus_type e1000_bus_width
e1000_dsp_config e1000_fc_mode e1000_ffe_config
e1000_mac_type e1000_media_type e1000_mng_mode
e1000_ms_type e1000_nvm_override e1000_nvm_type
e1000_phy_type e1000_promisc_type e1000_rev_polarity
e1000_serdes_link_state e1000_smart_speed e_flash_opcode
efi_reset eisa_device_ivars eq_len
eqe_size err error_index
esource event_generation_state fail_point_return_code
fail_point_t fd_drivetype fdc_device_ivars
fdc_states fdc_type filehdr_flags
fmn_swcode framing ftp_message_type
function_mask fw_caps_config_fcoe fw_caps_config_hm
fw_caps_config_iscsi fw_caps_config_link fw_caps_config_nbm
fw_caps_config_nic fw_caps_config_rdma fw_caps_config_switch
fw_caps_config_toe fw_chnet_iface_cmd_subop fw_chnet_ifconf_wr_subop
fw_cmd_cap fw_cmd_opcodes fw_devlog_facility
fw_devlog_level fw_error_type fw_filter_wr_cookie
fw_flowc_mnem fw_flowc_mnem_tcpstate fw_flowc_mnem_uostate
fw_foiscsi_auth_method fw_foiscsi_auth_policy fw_foiscsi_ctrl_state
fw_foiscsi_digest_type fw_foiscsi_node_type fw_foiscsi_session_type
fw_foiscsi_wr_subop fw_hdr_chip fw_hdr_flags
fw_iq_type fw_ldst_addrspc fw_ldst_func_access_ctl
fw_ldst_func_mod_index fw_ldst_mdio_vsc8634_aid fw_ldst_mps_fid
fw_memtype_cf fw_params_mnem fw_params_param_dev
fw_params_param_dev_bypass fw_params_param_dev_diag fw_params_param_dmaq
fw_params_param_pfvf fw_params_phyfw_actions fw_port_action
fw_port_cap fw_port_dcb_cfg fw_port_dcb_cfg_rc
fw_port_dcb_type fw_port_diag_ops fw_port_l2cfg_ctlbf
fw_port_lb_stats_index fw_port_link_dn_rc fw_port_mdi
fw_port_mod_sub_type fw_port_module_type fw_port_stat_rx_index
fw_port_stats_tx_index fw_port_type fw_retval
fw_ri_addr_type fw_ri_atomic_op fw_ri_cqe_err
fw_ri_cqe_rxtx fw_ri_data_op fw_ri_init_p2ptype
fw_ri_mem_perms fw_ri_mpa_attrs fw_ri_qp_caps
fw_ri_res_op fw_ri_res_type fw_ri_sgl_depth
fw_ri_stag_type fw_ri_type fw_ri_wr_flags
fw_ri_wr_opcode fw_sched_params_level fw_sched_params_mode
fw_sched_params_rate fw_sched_params_unit fw_sched_sc
fw_sched_type fw_version_type fw_vi_func
fw_vi_mac_result fw_vi_mac_smac fw_vi_stats_pf_index
fw_vi_stats_vf_index fw_watchdog_actions fw_wr_opcodes
g_part_alias g_part_ctl g_raid3_zones
g_rr_flags g_rr_state gmac_block_types
gmac_port_types gpio_ctrl gpt_elt
gpt_state h_kind hdac_device_ivars
hdmi_force_audio hid_kind hpt_iop_request_type
hpt_iop_result_type hpt_iopmu_message hptiop_family
i2c_dev_types i915_cache_level ia64_xiv_use
ib_access_flags ib_ah_flags ib_atomic_cap
ib_cm_apr_status ib_cm_data_size ib_cm_event_type
ib_cm_lap_state ib_cm_rej_reason ib_cm_sidr_status
ib_cm_state ib_cq_notify_flags ib_device_cap_flags
ib_device_modify_flags ib_event_flags ib_event_type
ib_mad_result ib_mad_snoop_flags ib_mig_state
ib_mr_rereg_flags ib_mtu ib_port_cap_flags
ib_port_modify_flags ib_port_state ib_port_width
ib_process_mad_flags ib_qp_attr_mask ib_qp_create_flags
ib_qp_state ib_qp_type ib_rate
ib_rnr_timeout ib_sa_selector ib_send_flags
ib_sig_type ib_srq_attr_mask ib_wc_flags
ib_wc_opcode ib_wc_status ib_wr_opcode
ibfoo_id idtype ie_hardware
ieee80211_authmode ieee80211_mesh_mlstate ieee80211_notify_cac_event
ieee80211_opmode ieee80211_phymode ieee80211_phytype
ieee80211_protmode ieee80211_radiotap_type ieee80211_ratealgs
ieee80211_roamingmode ieee80211_state inform_state
intel_chip_family intel_pch interface_type
intr_polarity intr_trigger intr_type
iobus_ivars ipfw_opcodes ipoib_cm_state
ipoib_flush_level irqreturn isa_device_ivars
iw_cm_event_status iw_cm_event_type iw_cm_state
iwch_ddp_ecodes iwch_ep_flags iwch_ep_state
iwch_layers_types iwch_mmid_state iwch_mpa_ecodes
iwch_qp_attr_mask iwch_qp_query_flags iwch_qp_state
iwch_rdma_ecodes ixgbe_atr_flow_type ixgbe_bus_speed
ixgbe_bus_type ixgbe_bus_width ixgbe_dcb_pba
ixgbe_dcb_pfc ixgbe_dcb_tsa ixgbe_eeprom_type
ixgbe_fc_mode ixgbe_fcoe_boot_status ixgbe_fdir_pballoc_type
ixgbe_mac_type ixgbe_media_type ixgbe_phy_type
ixgbe_sfp_type ixgbe_smart_speed kbdc_device_ivar
ksched_op lacp_mux_state lacp_selected
legacy_device_ivars lpar_id lv1_ata_in_out
lv1_ata_proto lzma_state malo_hal_antenna
malo_hal_preamble mca_device_ivars mcast_group_state
mcast_state md_types mfi_ld_access
mfi_ld_state mfi_pd_state mfi_pr_opmode
mfi_pr_state mfip_state midi_states
miibus_device_ivars mlx4_dev_event mlx4_en_wol
mlx4_event mlx4_ib_qp_flags mlx4_mcast_prot
mlx4_port_type mlx4_prot mlx4_qp_optpar
mlx4_qp_region mlx4_qp_state mlx4_query_reply
mlx4_special_vlan_idx mmc_bus_mode mmc_bus_timing
mmc_bus_width mmc_card_mode mmc_chip_select
mmc_device_ivars mmc_power_mode mmc_vdd
mmcbr_device_ivars mode_set_atomic msg_type
mthca_ah_type mthca_db_type mv88e61xxphy_sysctl_link_type
mv88e61xxphy_sysctl_port_type mv88e61xxphy_vtu_membership_type mvs_edma_mode
mvs_err_type mvs_slot_states myri10ge_mcp_cmd_status
myri10ge_mcp_cmd_type nbstate ncp_argtype
ndis_classid ndis_interface_type ndis_interrupt_mode
ndis_media_state ndis_medium ndis_parm_type
ndis_perpkt_info netevent_notif_type nexus_ivars
nfs_rto_timer_t nfsiod_state ng_parse_token
nlm_host_state no_fbc_reason nt_caching_type
nt_product_type nvme_activate_action nvme_admin_opcode
nvme_command_specific_status_code nvme_dsm_attribute nvme_feature
nvme_generic_command_status_code nvme_io_test_flags nvme_log_page
nvme_media_error_status_code nvme_namespace_flags nvme_nvm_opcode
nvme_status_code_type obj_type ooAwi4
ops_t pSVTD partition_type
party_sig party_state pci_device_ivars
pcib_device_ivars pcie_fw_eval pdu_type
pfi_kif_refs pipe plane
pmc_caps pmc_class pmc_cputype
pmc_dbgparse_state pmc_disp pmc_event
pmc_flags pmc_mode pmc_ops
pmc_p4escr pmc_p4pmc pmc_state
pmclog_type poll_cmd ppp_phase
proc_vector_type ps3bus_irq_type ps3bus_reg_type
ptable_type puc_cfg_cmd pxa_device_ivars
qfq_state qr8nF2 qtype
radeon_chip_flags radeon_cp_microcode_version radeon_family
rdma_cm_event_type rdma_init_rtr_types rdma_init_wr_flags
rdma_link_layer rdma_node_type rdma_port_space
rdma_transport_type reinit_reason reiserfs_mount_options
reject_stat replay_state reply_stat
respond_sig rl_twist rm
rman_type rmpp_state rpcsec_gss_state
rx_sa_flag s6cvt3 sa_db_lookup_method
sa_db_state sa_update_type saal_sig
savage_family sbni_reg sbus_device_ivars
scan_mode scnhdr_flags sdp_flags
sdp_mid sec_cipher sec_config
sec_debug_config sec_engine_id sec_msgring_bucket_config
sec_msgring_credit_config sec_msgrng_msg_ctrl_config sec_pipe_base_config
sec_pipe_config sec_rsa_config ses_ctrl_array_dev_slot_field_data
ses_ctrl_audible_alarm_field_data ses_ctrl_comm_port_field_data ses_ctrl_common_field_data
ses_ctrl_cooling_field_data ses_ctrl_current_sensor_field_data ses_ctrl_dev_slot_field_data
ses_ctrl_display_field_data ses_ctrl_door_lock_field_data ses_ctrl_ecc_electronics_field_data
ses_ctrl_enclosure_field_data ses_ctrl_initiator_port_field_data ses_ctrl_key_pad_entry_field_data
ses_ctrl_language_field_data ses_ctrl_nv_cache_field_data ses_ctrl_page_field_data
ses_ctrl_power_supply_field_data ses_ctrl_sas_connector_field_data ses_ctrl_sas_expander_field_data
ses_ctrl_scc_electronics_field_data ses_ctrl_scsi_port_or_xcvr_field_data ses_ctrl_scsi_target_port_field_data
ses_ctrl_simple_subenc_field_data ses_ctrl_temp_sensor_field_data ses_ctrl_ups_field_data
ses_ctrl_voltage_sensor_field_data ses_iter_index_values ses_status_array_dev_slot_field_data
ses_status_audible_alarm_field_data ses_status_comm_port_field_data ses_status_common_field_data
ses_status_cooling_field_data ses_status_current_sensor_field_data ses_status_dev_slot_field_data
ses_status_display_field_data ses_status_door_lock_field_data ses_status_ecc_electronics_field_data
ses_status_enclosure_field_data ses_status_invalid_op_field_data ses_status_key_pad_entry_field_data
ses_status_language_field_data ses_status_nv_cache_field_data ses_status_page_field_data
ses_status_power_supply_field_data ses_status_sas_connector_field_data ses_status_sas_expander_field_data
ses_status_scc_electronics_field_data ses_status_scsi_initiator_port_field_data ses_status_scsi_port_or_xcvr_field_data
ses_status_scsi_target_port_field_data ses_status_simple_subses_field_data ses_status_temp_sensor_field_data
ses_status_ups_field_data ses_status_voltage_sensor_field_data severity
sfxge_evq_state sfxge_flush_state sfxge_intr_state
sfxge_mcdi_state sfxge_port_state sfxge_rxq_state
sfxge_softc_state sfxge_tx_buf_flags sfxge_txq_state
sfxge_txq_type sge_context_type shn_value
shst_value siba_clksrc siba_clock
siba_device_ivars siba_sprom_vars siba_type
siis_err_type siis_slot_states sis_family
smb_dialects smbiod_state smbrq_state
smi_action smi_device_ivars smi_forward_action
sopt_dir sscfu_state sscop_aasig
sscop_maasig sscop_sigtype sscop_state
start_sig state subpixel_order
svc_rpc_gss_client_state svcpool_state symfollow
sysinit_elem_order sysinit_sub_id t3_cq_opcode
t3_modify_qp_flags t3_utx_mem_op t3ctype
t7TM23 test_state therm_info
tnt4882reg tpt_addr_type tpt_mem_perm
tpt_mem_type tv_margin tws_events
tws_intrs tws_req_flags tws_sense_severity
tws_states tx_sa_flag txrx
uio_rw uio_seg uni_aal
uni_aal1_cbr uni_aal1_ecm uni_aal1_screc
uni_aal1_subtype uni_aal_sscs uni_addr_plan
uni_addr_pres uni_addr_screen uni_addr_type
uni_bearer_atc uni_bearer_cfg uni_bearer_class
uni_bearer_clip uni_bhli uni_blli_l2
uni_blli_l2_mode uni_blli_l3 uni_blli_l3_mode
uni_blli_l3_mux uni_blli_l3_psiz uni_blli_l3_tcap
uni_blli_l3_ttype uni_callstate uni_cause
uni_cause_class uni_cause_cond uni_cause_loc
uni_cause_na uni_cause_pu uni_cause_reason
uni_coding uni_config_mask uni_connid_assoc
uni_connid_type uni_crankback uni_cscope
uni_diag uni_epstate uni_exqos_origin
uni_git_std uni_git_type uni_ieact
uni_ierr_type uni_ietype uni_lij_idtype
uni_lij_screen uni_msgact uni_msgtype
uni_option uni_popt uni_proto
uni_qos uni_repeat_type uni_report
uni_restart_type uni_sig uni_soft_sel
uni_subaddr_type uni_traffic_class unimem
unisve_tag upd7210_rreg upd7210_wreg
upgt_fw_type usb_dev_speed usb_dev_state
usb_hc_mode usb_revision usbd_pipe_type
user_state v4l2_bitrate_mode v4l2_buf_type
v4l2_colorspace v4l2_ctrl_type v4l2_field
v4l2_memory v4l2_mpeg_aspectratio v4l2_mpeg_audiotype
v4l2_mpeg_streamtype v4l2_mpeg_videotype v4l2_priority
v4l2_tuner_type val_t verify
via_family vtblk_cache_mode vtscsi_request_state
vtype ws_Fn2 xdr_op
xen_elfnote_type xenbus_state xlr_phys_memmap_t
xprt_stat xs_watch_type xsd_sockmsg_type
xz_check zfreeskip zio_checksum
zio_compress

[ source navigation ] [ identifier search ] [ freetext search ] [ file search ] [ list types ] [ track identifier ]


This page is part of the FreeBSD/Linux Linux Kernel Cross-Reference, and was automatically generated using a modified version of the LXR engine.